首页文章正文

set_clock_uncertainty,时钟不确定度的定义

时钟引脚的作用 2023-12-11 16:04 612 墨鱼
时钟引脚的作用

set_clock_uncertainty,时钟不确定度的定义

?▂? 刚开始学dc,有些用法比较模糊,记录一下set_clock_latency与set_clock_uncertainty的理解:1,set_clock_latency用于描述时钟源到寄存器时钟输入端的延迟,包括souset_clock_uncertainty命令为时钟或跨时钟传输指定时钟不确定值或偏移。可以分别为建立时间和保持时间指定不确定值,也可以指定单独的时钟上升沿和下降沿转换。Timing Analyze

╯^╰〉 set_clock_uncertainty -from SYS_CLK -to CFG_CLK -setup 0.1 在pre-CTS的时候,我们将时钟的不确定性设定为target的skew和jitter值之和来模拟真实的时钟;而post-CTS之后,时钟树propset_clock_uncertainty -setup 0.2 [get_clocks CLK_CONFIG] set_clock_uncertainty -hold 0.05 [get_clocks CLK_CONFIG ] set_clock_uncertainty -from VIRTUAL_SYS_CLK -to SYS_C

+▂+ 由于我们能在set input delay和set output delay计算公式中添加clk skew信息,以及通过set clock latency添加时钟延迟信息,加上Set_clock_uncertainty约束命令,这3种约束都能对clk 1. set_clock_period:设置时钟周期。例如,set_clock_period 10 -name clk 表示时钟周期为10ns,时钟名称为clk。2. set_clock_uncertainty:设置时钟不确定性。

\ _ / clock_uncertainty 主要是前端留给后端的CTS的,其次是clock源的jitter。所以CTS之后,如果对时钟源有信心通过set_clock_uncertainty命令可以设置时钟的uncertainty值,该命令的语法如下:set_clock_uncertainty [object_list | -from from_clock | -rise_from from_clock | -fall_from fr

后台-插件-广告管理-内容页尾部广告(手机)

标签: 时钟不确定度的定义

发表评论

评论列表

灯蓝加速器 Copyright @ 2011-2022 All Rights Reserved. 版权所有 备案号:京ICP1234567-2号